矽光子/CPO破AI傳輸瓶頸 高速傳輸喜迎「光」化元年

2023-11-24
ChatGPT帶動生成式AI熱潮引爆高效能運算需求,為解決大量資料傳輸瓶頸,從晶片到資料中心間持續提升傳輸頻寬成重要趨勢。中/短距高速傳輸導入光通訊呼聲漸起,矽光子、CPO技術可以提供較電路傳輸更高效能,吸引廠商積極布局台灣產業亦高度關注。
Intel

2023年ChatGPT帶動生成式AI(GAI)的熱潮,大型語言模型(LLM)讓全球超大規模資料中心需求升溫,而AI的運算需求也推升處理器的效能、記憶體的儲存空間與通訊節點的高速傳輸需求,上述三個部份的效能相輔相成,任何一項效能改善落後就可能對系統產生瓶頸,為了解決大量資料傳輸的瓶頸,從晶片、電路板、連接埠到與資料中心間,持續提升傳輸頻寬成為重要趨勢。

隨著5G技術成熟與大規模商用、生成式AI的熱潮更在各產業領域掀起巨大的浪潮,面對這些趨勢,企業開始急需使用更多的數據、更快速的資料傳輸、以及更強大的運算資源,AI的發展成為帶動通訊頻寬擴展的一大動能。目前從晶片到資料中心間的傳輸,從釐米級到數百公里的傳輸都面臨頻寬提升的壓力,半導體電路技術傳輸頻寬也逐漸接近物理極限,為解決此一隱憂,中、短距傳輸導入光通訊呼聲漸起。

光通訊解決AI巨量資料傳輸瓶頸

一般而言,目前從晶片、電路板、連接埠到Server間與資料中心間的傳輸,距離在100公尺之內的傳輸採用電路技術,超過100公尺的傳輸包括資料中心與數百公里以上的長距離通訊已經導入光通訊(圖1),但是在AI的發展之下,大型模型成長速度驚人,產生的資料與推論處理所需要的運算能力不斷提升,所以中短距的電路傳輸頻寬也需要持續擴充,但是高速訊號代表高頻率,頻率提升會縮短傳輸距離、增加耗電、影響訊號完整性等,於是有廠商提出以光作為傳輸解決方案。

圖1 光與電傳輸技術目前以100公尺為分界,但進入高速傳輸,電路技術效率將逐漸下降 (資料來源:Intel)

為了解決大量資料傳輸的瓶頸,從釐米級到數百公里都將採用光傳輸,因此晶片與電路板的光學共同封裝(Co-packaged Optics, CPO)可以在維持高傳輸速度下降低功耗並減少訊號耗損或延遲。CPO與矽光子協助高速運算的大規模資料傳輸需求,搭配伺服器甚至遠程的光纖傳輸,將寬頻網路完全「光」化,一舉打通資料傳輸瓶頸,進入全面光傳輸時代。

矽光子(Silicon Photonics)就是將電子結合光子的技術,是一種積體光路,將現有採用離散式元件設計的光收發器電路微縮成一小片晶片,晶片內的傳導皆使用可以導光的線路,稱為光波導,最終用光訊號全面代替電訊號進行傳輸,但目前在光電結合上,還牽涉到諸多光電訊號的轉換,因此技術上還有許多面向需克服。

「光」路取代「電」路傳輸啟動

在目前電路傳輸的架構下,以伺服器或資料中心為例,根據統計,2022年傳輸I/O消耗的電力占伺服器Socket的10%左右;到2030年電力消耗則提升到40%,包括傳輸電路擴增頻寬造成的技術挑戰,在持續提升速率、頻寬的前提之下,降低功耗並尋求使用新的傳輸介質、技術成為思考的重點,多年來光一直是最主要的解答之一。

傳統的光收發模組主動元件包括:光源(Light Source)、光接收器(Photodetector)、調變器(Modulator);被動元件有多工/解多工器(Mux/DeMux)、光濾波器(Optical Filter)、分路/合路器(Splitter/Combiner)、光耦合器(Optical Coupling)、干涉器/光開關(Interferometer/Switch)、極化控制器(Polarization Diversity)等。目前這些元件都是零散的放在PCB板四處,而矽光子的技術就是將這些元件全數整合到一個矽晶片上,目前主要從傳統式插拔模組轉為共封裝光學模組,即為市場上常提的CPO技術。而更進一步透過半導體製程,將這些元件微縮到同一個晶片中就是積體光學。

根據博通(Broadcom)的研究,若採用傳統插拔式光收發模組,當訊號傳輸速度上升至200Gbps時,訊號損失每公尺預計超過20dB,且損耗量隨速度上升更加嚴重。透過CPO,因為光的傳輸基本不帶能量,預計能節省約30%的功耗、40%的成本。

矽光子技術產業化逐步加速

2023台灣國際半導體展SEMICON Taiwan論壇中,台積電揮動手中魔杖欽點矽光子,讓這個發展已久的「冷門」技術點石成金,還傳出與博通(Broadcom)和輝達(NVIDIA)等大客戶進行談判,共同開發以該技術為中心的應用。希望合作生產下一代矽光子晶片,製程技術涵蓋45~7奈米(nm),預計最快將於2024年下半年開始生產。

產業研究機構Yole Intelligence表示,複合封裝光學市場的營收預計將在2033年達到26億美元,2022~2033年複合成長率為46%。

另一個產業研究機構Light Counting也認為,預計2022~2027年CPO技術CAGR將達19%,並在2027年成為市場主流。CPO技術與小晶片和矽光子等封裝創新相結合,可提供高達1,000倍的頻寬,而功率僅為電氣I/O方案的1/10。可插拔式光收發模組發展成熟、成本低、通用性高等優點被大多數廠商採用。400與800G的可插拔式光收發模組都已經量產出貨,目前產品效能與價格與傳統離散式解決方案差距不大,因此並未對市場帶來根本改變,預計將在下一世代資料傳輸速度達1.6T、3.2T才會成為應用主流。

矽光子/CPO破AI傳輸瓶頸 高速傳輸喜迎「光」化元年(1)

矽光子/CPO破AI傳輸瓶頸 高速傳輸喜迎「光」化元年(2)

本站使用cookie及相關技術分析來改善使用者體驗。瞭解更多

我知道了!